RicercaInizia una nuova ricerca

NOTA: è possibile cercare una corrispondenza esatta usando i doppi apici, ad es: "evoluzione della specie". Qualora si cerchi un identificativo, è consigliabile cercarlo in due modi differenti: tra apici con caratteri speciali es: "978-94-6366-274" oppure senza caratteri speciali solo come sequenza numerica: es 978946366274.

cerca in
Risultati 41 - 50 di 125 (tempo di esecuzione: 0.027 secondi).
Titolo Data di pubblicazione Autore(i) File
Control- and Data-Path Decoupling in the Design of a NoC Switch: Area, Power and Performance Implications 2007 Medardoni, S; Bertozzi, Davide; Benini, L; Macii, E. file con accesso da definire
Cooperative built-in self-testing and self-diagnosis of NOC bisynchronous channels 2012 N., Caselli; Strano, Alessandro; Ludovici, Daniele; Bertozzi, Davide file con accesso da definire
Correlating Power Efficiency and Lifetime to Programming Strategies in RRAM-Based FPGAs 2018 Zambelli, Cristian; Castellari, Marco; Olivo, Piero; Bertozzi, Davide
Cost-effective and flexible asynchronous interconnect technology for GALS networks-on-chip 2017 Bertozzi, Davide; Miorandi, Gabriele; Tala, Mahdi; Nowick, Steven M. file con accesso da definire
Cost-Effective Contention Avoidance in a CMP with Shared Memory Controllers 2012 Samuel, Rodrigo; Frank Olaf Sem, Jacobsen; TATENGUEM FANKEM, Herve'; Tor, Skeie; Bertozzi, Davide file con accesso da definire
Cross-Layer Hardware/Software Assessment of the Open-Source NVDLA Configurable Deep Learning Accelerator 2020 Veronesi, A.; Krstic, M.; Bertozzi, D.
Crossbar replication vs. sharing for virtual channel flow control in asynchronous NoCs: A comparative study 2015 Miorandi, Gabriele; Ghiribaldi, Alberto; Steven, M. Nowick; Bertozzi, Davide
CustomTopo: A topology generation method for application-specific wavelength-routed optical NoCs 2018 Li, Mengchu; Tseng, Tsun-Ming; Bertozzi, Davide; Tala, Mahdi; Schlitchmann, Ulf
Design of a collective communication infrastructure for barrier synchronization in cluster-based nanoscale MPSoCs 2012 J. L., Abellan; J., Fernandez; M. E., Acacio; Bertozzi, Davide; D., Bortolotti; A., Marongiu; L.,... Benini file con accesso da definire
Design Space Exploration of a Mesochronous Link for Cost-Effective and Flexible GALS NOCs 2010 Ludovici, Daniele; Strano, Alessandro; G. N., Gaydadjiev; L., Benini; Bertozzi, Davide file con accesso da definire
Risultati 41 - 50 di 125 (tempo di esecuzione: 0.027 secondi).
Legenda icone

  •  file ad accesso aperto
  •  file disponibili solo agli amministratori
  •  file sotto embargo
  •  nessun file disponibile

Aggiungere filtri:  
Aggiungi
Opzioni
Scopri
Tipologia
  • 04 Atto di Convegno (Proceedings) 125
  • 04 Atto di Convegno (Proceedings)... 125
Autore
  • STRANO, Alessandro 15
  • RAMINI, Luca 14
  • MEDARDONI, Simone 12
  • LUDOVICI, Daniele 11
  • MIORANDI, Gabriele 10
  • BALBONI, Marco 9
  • TALA, Mahdi 9
  • FAVALLI, Michele 8
  • GHIRIBALDI, Alberto 8
  • ZAMBELLI, Cristian 8
Data di pubblicazione
  • 2020 - 2023 11
  • 2010 - 2019 75
  • 2002 - 2009 39
Editore
  • IEEE 42
  • Institute of Electrical and Elect... 20
  • ACM/IEEE 15
  • IEEE Computer Society 14
  • ACM 9
  • ACM / Association for Computing M... 5
  • Association for Computing Machinery 4
  • Springer 2
  • Springer Berlin Heidelberg 2
  • Academia Press - Hipeac NoE 1
Rivista
  • LECTURE NOTES IN COMPUTER SCIENCE 1
Serie
  • PROCEEDINGS - DESIGN, AUTOMATION,... 3
  • PROCEEDINGS - GREAT LAKES SYMPOSI... 3
  • LECTURE NOTES IN COMPUTER SCIENCE 2
  • ... IEEE ... INTERNATIONAL SYMPOS... 1
  • IEEE INTERNATIONAL INTEGRATED REL... 1
  • INTERNATIONAL CONFERENCE ON TRANS... 1
  • PROCEEDINGS DESIGN, AUTOMATION, A... 1
  • PROCEEDINGS OF THE ASP-DAC ... AS... 1
Keyword
  • network-on-chip 7
  • GALS 4
  • Network-on-Chip 4
  • Asynchronous 3
  • network on chip 3
  • Networks-on-Chip 3
  • silicon photonics 3
  • dual-clock FIFO 2
  • Hardware and Architecture 2
  • nanophotonics 2
Lingua
  • eng 125
Accesso al fulltext
  • no fulltext 91
  • reserved 32
  • open 1
  • partially open 1