RicercaInizia una nuova ricerca

NOTA: è possibile cercare una corrispondenza esatta usando i doppi apici, ad es: "evoluzione della specie". Qualora si cerchi un identificativo, è consigliabile cercarlo in due modi differenti: tra apici con caratteri speciali es: "978-94-6366-274" oppure senza caratteri speciali solo come sequenza numerica: es 978946366274.

cerca in
Risultati 111 - 120 di 125 (tempo di esecuzione: 0.022 secondi).
Titolo Data di pubblicazione Autore(i) File
System interconnect extensions for fully transparent demand paging in low-cost MMU-less embedded systems 2013 Zuolo, Lorenzo; Miorandi, Gabriele; Zambelli, Cristian; Olivo, Piero; Bertozzi, Davide file con accesso da definire
System-Level Infrastructure for Boot-time Testing and Configuration of Networks-on-Chip with Programmable Routing Logic 2011 Ghiribaldi, Alberto; Ludovici, Daniele; Favalli, Michele; Bertozzi, Davide file con accesso da definire
Technology-Aware Drift Resilience Analysis of RRAM Crossbar Array Configurations 2023 Reiser, D.; Reichenbach, M.; Rizzi, T.; Baroni, A.; Fritscher, M.; Wenger, C.; Zambelli, C.; Bert...ozzi, D. file con accesso da definire
The challenge of classification confidence estimation in dynamically-adaptive neural networks 2022 Dall'Occo, Francesco; Bueno-Crespo, Andrés; Abellán, José L.; Bertozzi, Davide; Favalli, Michele file con accesso da definire
The design predictability concern in optical network-on-chip design 2012 Ramini, Luca; Bertozzi, Davide file con accesso da definire
Transparent Lifetime Built-In Self-Testing of Networks-on-Chip Through the Selective Non-Concurrent Testing of their Communication Channels 2017 Bertozzi, Davide; Balboni, Marco file con accesso da definire
Transport protocol optimization for energy efficient wireless embedded systems 2003 Bertozzi, Davide; Raghunathan, A; Benini, L; Ravi, S. file con accesso da definire
Ultra-low latency NoC testing via pseudo-random test pattern compaction 2012 TATENGUEM FANKEM, Herve'; Strano, Alessandro; G., Govind; J., Raik; Bertozzi, Davide file con accesso da definire
Understanding the Design Space of Wavelength-Routed Optical NoC Topologies for Power-Performance Optimization 2018 Bertozzi, Davide; Tala, Mahdi
Variation tolerant NoC design by means of self-calibrating links 2008 Medardoni, Simone; Bertozzi, Davide; M., Lajolo file con accesso da definire
Risultati 111 - 120 di 125 (tempo di esecuzione: 0.022 secondi).
Legenda icone

  •  file ad accesso aperto
  •  file disponibili solo agli amministratori
  •  file sotto embargo
  •  nessun file disponibile

Aggiungere filtri:  
Aggiungi
Opzioni
Scopri
Tipologia
  • 04 Atto di Convegno (Proceedings) 125
  • 04 Atto di Convegno (Proceedings)... 125
Autore
  • STRANO, Alessandro 15
  • RAMINI, Luca 14
  • MEDARDONI, Simone 12
  • LUDOVICI, Daniele 11
  • MIORANDI, Gabriele 10
  • BALBONI, Marco 9
  • TALA, Mahdi 9
  • FAVALLI, Michele 8
  • GHIRIBALDI, Alberto 8
  • ZAMBELLI, Cristian 8
Data di pubblicazione
  • 2020 - 2023 11
  • 2010 - 2019 75
  • 2002 - 2009 39
Editore
  • IEEE 41
  • Institute of Electrical and Elect... 20
  • ACM/IEEE 15
  • IEEE Computer Society 14
  • ACM 9
  • ACM / Association for Computing M... 5
  • Association for Computing Machinery 4
  • Springer 2
  • Springer Berlin Heidelberg 2
  • Academia Press - Hipeac NoE 1
Rivista
  • LECTURE NOTES IN COMPUTER SCIENCE 1
Serie
  • PROCEEDINGS - DESIGN, AUTOMATION,... 3
  • LECTURE NOTES IN COMPUTER SCIENCE 2
  • ... IEEE ... INTERNATIONAL SYMPOS... 1
  • INTERNATIONAL CONFERENCE ON TRANS... 1
  • PROCEEDINGS - GREAT LAKES SYMPOSI... 1
  • PROCEEDINGS DESIGN, AUTOMATION, A... 1
  • PROCEEDINGS OF THE ASP-DAC ... AS... 1
Keyword
  • network-on-chip 7
  • GALS 4
  • Network-on-Chip 4
  • Asynchronous 3
  • network on chip 3
  • Networks-on-Chip 3
  • silicon photonics 3
  • dual-clock FIFO 2
  • Hardware and Architecture 2
  • nanophotonics 2
Lingua
  • eng 125
Accesso al fulltext
  • no fulltext 93
  • reserved 30
  • open 1
  • partially open 1